216
ยต
PD78214 Sub-Series
(9) When PWM is used, a PWM signal with a 100% duty factor is output if a value less than the value of TMn (n
= 0, 2) is set in compare register CRnm (n = 0, 2, m = 0, 1). CRnm rewrite operation must be performed using
an interrupt generated by a coincidence between TMn and CRnm to be rewritten.
Fig. 7-135 Example of PWM Output Signal with a 100% Duty Factor
TOp
(p = 0,2)
CRnm
0H
n1 n2
n2
n2
n2
n3
n1
TMn
count value
When a value, n2 less than TMn value, n3 is written to CRnm
here, the duty factor is 100% during this period.
n1
FFFFH or FFHFFFFH or FFHFFFFH or FFHFFFFH or FFH
Remark ALVp = 0