Xilinx UG129 manual Using the PicoBlaze Microcontroller in an Fpga Design

Models: UG129

1 124
Download 124 pages 29.5 Kb
Page 74
Image 74

R

Chapter 10: Using the PicoBlaze Microcontroller in an FPGA Design

74

www.xilinx.com

PicoBlaze 8-bit Embedded Microcontroller

 

 

UG129 (v1.1.2) June 24, 2008

Page 74
Image 74
Xilinx UG129 manual Using the PicoBlaze Microcontroller in an Fpga Design