Error Messages
Instruction Manual: evolution 5000 E57xx DSNG and DENG Voyager Enco d er Pag e H-7
ST.TM.E10076.3
H.8 Video Encoder Related Errors

Table H.6: Video Encoder Error Messages

Error Message Action to Take
Comms Error
Config Update
VCM Stopped
Power Encoder off, then check that the Base Board is firmly seated in the
chassis. Do this by firmly pressing it from the rear of the Encoder into the chassis.
Power on the Encoder. If the fault persists call Service Engineer.
Bad Parameters
Duplicate PID
Invalid PID
VBI, Bit-rate too low
VBI Hor Res too low
Mux Bit-rate too low
Check the Encoder configuration.
No interrupt
DSP Overrun
Boot Fail
Bad Frame Rate
Rate Buffer OF/UF
DSP Health
Bad Filter Coeffs
Repeated PCR Resync
Coding Mode Error
PCR Error
No PowerUp Tests
Power Encoder off, then check that the Base Board is firmly seated in the
chassis. Do this by firmly pressing it from the rear of the Encoder into the chassis.
Power on the Encoder. If the fault persists call Service Engineer.
No Reflex Messages Check Ethernet connection to Encoder.
H.9 Audio Related Errors

Table H.7: Audio Error Messages

Error Message Action to Take
Module Fault
Comms Error
Config Update
Processor Stopped
Power Encoder off, then check that the Base Board and any audio modules are
firmly seated in the chassis. Do this by firmly pressing them from the rear of the
Encoder into the chassis. Power on the Encoder. If the fault persists call Service
Engineer.
Incorrect parameters Check the parameter settings for the reported audio channel.
PCR Error Power Encoder off, then check that the Base Board and any audi o modules are
firmly seated in the chassis. Do this by firmly pressing them from the rear of the
Encoder into the chassis. Power on the Encoder. If the fault persists call Service
Engineer.
Silence Timeout Left
Silence Timeout Right
A silence timeout period can be set (contact Customer Services for details). The
error message is generated if the audio is silent for greater than the timeout
period. The default timeout period is 0 which disables this feature, and so the
error should not be reported.