Xilinx PLB PCI Full Bridge specifications Port Signal Name

Page 16

PLB PCI Full Bridge (v1.00a)

Table 2:

PLB PCI Bridge I/O Signals (Contd)

 

 

 

 

 

 

 

 

 

 

Port

 

Signal Name

Interface

I/O

Description

 

 

 

 

 

 

 

 

P55

 

CBE[(C_PCI_DBUS_WI

PCI Bus

I/O

Time-multiplexed bus command and byte enable bus

 

 

DTH/8)-1:0]

 

 

 

 

 

 

 

 

 

 

 

 

 

 

P56

 

PAR

PCI Bus

I/O

Generates and checks even parity across AD and

 

 

CBE

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

PCI Transaction Control Signals

 

 

 

 

 

 

 

 

P57

 

FRAME_N

PCI Bus

I/O

Driven by an initiator to indicate a bus transaction

 

 

 

 

 

 

 

 

 

 

 

 

 

Indicates that a target has decoded the address

 

P58

 

DEVSEL_N

PCI Bus

I/O

presented during the address phase and is claiming

 

 

 

 

 

 

the transaction

 

 

 

 

 

 

 

 

P59

 

TRDY_N

PCI Bus

I/O

Indicates that the target is ready to complete the

 

 

current data phase

 

 

 

 

 

 

 

 

 

 

 

 

 

 

P60

 

IRDY_N

PCI Bus

I/O

Indicates that the initiator is ready to complete the

 

 

current data phase

 

 

 

 

 

 

 

 

 

 

 

 

 

 

P61

 

STOP_N

PCI Bus

I/O

Indicates that the target has requested to stop the

 

 

current transaction

 

 

 

 

 

 

 

 

 

 

 

 

 

 

P62

 

IDSEL

PCI Bus

I

Indicates that the interface is the target of a

 

 

configuration cycle

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

PCI Interrupt Signals

 

 

 

 

 

 

 

 

P63

 

INTR_A

PCI Bus

O

Indicates that LogiCORE PCI interface requests an

 

 

interrupt

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

PCI Error Signals

 

 

 

 

 

 

 

 

 

 

 

 

 

Indicates that a parity error was detected while the

 

P64

 

PERR N

PCI Bus

I/O

LogiCORE PCI interface was the target of a write

 

 

 

 

 

 

transfer or the initiator of a read transfer

 

 

 

 

 

 

 

 

P65

 

SERR N

PCI Bus

I/O

Indicates that a parity error was detected during an

 

 

address cycle, except during special cycles

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

PCI Arbitration Signals

 

 

 

 

 

 

 

 

P66

 

REQ N

PCI Bus

O

Indicates to the arbiter that the LogiCORE PCI

 

 

initiator requests access to the bus

 

 

 

 

 

 

 

 

 

 

 

 

 

 

P67

 

GNT N

PCI Bus

I

Indicates that the arbiter has granted the bus to the

 

 

LogiCORE PCI initiator

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

PCI System Signals

 

 

 

 

 

 

 

 

 

 

 

 

 

PCI bus reset signal is used to bring PCI-specific

 

P68

 

RST N

PCI Bus

I

registers, sequences, and signals to a consistent

 

 

 

 

 

 

state

 

 

 

 

 

 

 

 

P69

 

PCLK

PCI Bus

I

PCI bus clock signal

 

 

 

 

 

 

 

 

 

 

 

PCI Bus Internal Arbiter Signals

 

 

 

 

 

 

 

 

P70

 

REQ_N_toArb

Internal

O

Input from PCI Bus REQ_N available at top-level as

 

 

output from bridge

 

 

 

 

 

 

 

 

 

 

 

 

 

 

P71

 

FRAME_I

Internal

O

Input from PCI Bus FRAME_N availalble at top-level

 

 

as output from bridge

 

 

 

 

 

 

 

 

 

 

 

 

 

16

www.xilinx.com

DS508 March 21, 2006

 

 

Product Specification

Image 16
Contents Introduction LogiCORE FactsFeatures PLB PCI Full Bridge v1.00aPLB PCI Full Bridge v1.00a System Reset Evaluation VersionFunctional Description LogiCore Version 3.0 32-bit PCI Core RequirementsBus Interface Parameters Address TranslationExample Cpcibar LEN 1=25 Description Name Generic Feature Parameter Allowable Values DefaultType TYPE2 CIPIFBAR3HIGHADDR3 Cincludebaroff PCIBAR3 CplbawidthCPCIBAR2 BARIPIFBAR0 Cplbawidth LEN0PCI2IPIF Fifo Ctrigipif DEPTH-3. PCI2IPIFWrburst Occlevel 2CPCI2IPIFFIFOAConfiguration V3.0 Core Parameters Group Ipif Parameters Group PLB PCI Bus Interface I/O Signals Port Signal Name Interface DescriptionPLB PCI Bridge I/O Signals System SignalsPort Signal Name Interface Description Port Signal Name User Asserted PCI Interrupt Signal Cipifbarnum Ipif BARCIPIFBARHIGHADDR0 CIPIFBAR2PCIBAR0PLB PCI Bridge Parameters-Port Dependencies Contd Generic Cpcibarnum CPCIBAR2IPIFBAR0CPCIBARLEN0 CPCIBAR2IPIFBAR1V3.0 Core Parameters Group Supported PCI Bus Commands Command PLB PCI BridgeSupported PCI Bus Commands Code NameRegister Name PLB Address Access PLB PCI Bridge Register DescriptionsPLB PCI Bus Interface Registers Baseaddr +Register and Parameter Dependencies PLB PCI Bridge Interrupt Registers DescriptionsPLB Master Burst Write Retry Timeout- Interrupt22 Bits Name Access Reset DescriptionPLB Master Burst Write Retry Disconnect- Interrupt23 PCI Initiator Write Serr Enable- Enables this interrupt to PCI Initiator Read Serr Enable- Enables this interrupt toPLB Master Burst Write Retry Timeout Enable- Enables PLB Master Write Master Abort- Interrupt25 indicatesPLB PCI Bridge Reset Register Description Configuration Data Port Register Description Configuration Address Port Register DescriptionBus Number/Subordinate Bus Number Register Description IPIFBAR2PCIBARN High-Order Bits Register Description CincludebaroffsetregCIPIFSPACETYPE0=1 Host Bridge Device Number Register Description Host Bridge Device Number Bit assignment assumes 32-bit busPLB PCI Transactions Remote PLB Master PCI I/O Space PCI Memory SpaceTransaction Non-prefetchableTranslation Table for PCI commands to PLB transactions PLB Master Initiates a Read Request of a PCI target Abnormal Terminations PLB PCI Full Bridge v1.00a PLB Master Initiates a Write Request to a PCI Target PerrPLB PCI Full Bridge v1.00a Abnormal Terminations Write Perr interrupt asserted PCI Initiator Initiates a Read Request of a PLB Slave PLB PCI Full Bridge v1.00a Abnormal Terminations Abnormal condition Memory Read Memory Read Multiple PCI Initiator Initiates a Write Request to a PLB SlaveSerr Abnormal Terminations Configuration Transactions Abnormal condition Memory WriteConfiguration Space Header 0x00 0xFF 0x01 PLB PCI Full Bridge v1.00a Design Implementation Design ToolsDesign Debug Design ContraintsNET PCI AD* IOSTANDARD=PCI33 NET PCI CBE* IOSTANDARD=PCI33 Timegrp PCI Pads D OFFSET=IN Target Technology Virtex-4 SupportPLB PCI Full Bridge v1.00a Parameter CIDELAYCTRLLOC=IDELAYCTRLX2Y5-IDELAYCTRLX2Y6 Parameter Values Device Resources Device Utilization and Performance BenchmarksBRAM# GCLK# Revision History Reference DocumentsDate Version Revision