Command Dictionary Add Atpg Constraints
FastScan and FlexTest Reference Manual, V8.6_4 2-31
Add Atpg Constraints
Tools Supported: FastScan and FlexTest
Scope: All modes
Prerequisites: You can use this command only after the tool flattens the design to
the simulation model, which happens when you first attempt to exit Setup
mode or when you issue the Flatten Model command.
Usage
For FastScan
ADD ATpg Constraints {0 | 1 | Z} {pin_pathname | gate_id# | function_name |
{-Cell cell_name {pin_name...}}}... [-Dynamic | -Static]
[-NOCapclock_check]
For FlexTest
ADD ATpg Constraints {0 | 1 | Z} {pin_pathname | net_pathname | gate_id# |
function_name | {-Cell cell_name {{pin_name | net_name}...}}}...
[-Dynamic | -Static]
Description
Specifies that the tool restrict all patterns it places into the internal pattern set
according to the user-defined constraints.
When the tool rejects a simulated pattern, it generates a message indicating the
number of rejected patterns and the first gate at which the failure occurred. You
can control the severity of the violation with the Set Contention Check command.
If you set the checking severity to Error, the tool terminates the simulation if it
rejects a pattern due to a user-defined constraint. You can analyze the simulation
data up to the termination point by using the Report Gates command with the
Error_pattern option.
When either FlexTest generates test patterns or FastScan generates test patterns
using deterministic test generation methods, the tool ensures that it uses the user-
defined pin constraints. When FastScan generates test patterns randomly, it does
not have complete control over the highly automated process, which means that
FastScan cannot ensure the use of the user-defined ATPG constraints. However,
FastScan will reject non-conforming random patterns.